Reducing PFC Emissions Through Advances in CVD and Etch Processing

Johnson, Andrew; Ridgeway, Robert ; Maroulis, Peter
(Air Products and Chemicals, Inc., Allentown, PA)

You must log in to view the full proceedings.

The semiconductor industry has voluntarily agreed to reduce emissions of perfluorocompound (PFC) gases due to their high global warming potentials and long atmospheric residence times. Significant progress has been made towards the goal of reducing PFC emissions to 90 % of 1995 levels by the year 2010. PFC gases are used in both CVD and plasma etch processes. Two successful strategies for reducing PFC emissions are described: process optimization and alternative chemistries. We describe how advanced CVD and etch processes result in reduced PFC emissions while also enhancing process performance. For CVD chamber cleaning, optimization of the existing C2F6-based recipe can result in substantial reductions in both PFC emissions and gas usage. Process optimization involves identifying process conditions resulting in lower PFC emissions while also cleaning the chamber in the same amount of time. Examples will be presented for production CVD equipment. Even greater PFC reductions are possible by implementing NF3 based chamber cleans. We describe a remote NF3 plasma process where PFC emissions are 90% lower than that for typical C2F6 based chamber cleans. Advanced integrated circuits require ever more demanding plasma etch processes. Newer etch technologies have been developed that include changes in hardware design and etch chemistry. The advent of high density plasma based etch processes coupled with newer etch gases, such as C4F6, have led to a significant reduction in volumes of PFC gases used in and emitted from the process.

Back to SESHA 27th Annual Symposium (2005)

Login

Sign-up

Already have an account?